- Advertisement -
首頁 市場話題

市場話題

- Advertisement -

消阻抗/降功耗促生理監控快又準 生醫穿戴裝置聲勢看漲

血氧飽和度、心電圖(ECG)、血壓以及呼吸率等,是過去被局限於醫院監控設備的量測應用。持續監控這些參數至關重要,尤其是對於那些具有醫療風險的病患,無論是手術、發生事故或是被診斷出急重症等情況。隨著人口高齡化以及社會日漸關切醫藥財政支出,在院外進行醫療監控(Medical Monitoring)成為一股逐漸升高之趨勢。現在,在日常生活中也能持續監控這些病患的狀態,藉以及早發現問題,或是出院後選擇繼續配戴監控裝置,以便更迅速、舒適地康復,另外還有第三類使用者,他們量測這些參數的目的是為了事先防範,包括尚未診斷出任何病症的使用者。 各種多重參數監控裝置有著相同的須求:它們必須體積小巧、量測精準而且充飽電後能維持長時間運行。為支援這樣的趨勢,業界已經開發出各種新系列單晶片生物醫學類比前端元件。 新生醫感測元件最佳化系統配置 目前市面上有不少結合兩種甚至更多量測功能的多重參數系統,像是結合心律監控裝置和動作感測器來追蹤活動,或是結合心律變異性和阻抗感測功能來支援壓力監控或睡眠分析等應用。在大多數情況中,不同的量測功能都會由一個專屬的類比前端元件負責,以致整個系統會用到多個晶片,而每個晶片都會配置專屬的類比至數位轉換器(ADC)、連結主處理器專屬介面以及多個電源供應器與參考電壓,且這些元件都必須解耦合,這將產生許多冗餘模組,就尺寸與功耗的角度來看,這並非是最佳化的系統狀態。在穿戴裝置系統中,最簡單的做法莫過於用一個主訊號鏈連結每個感測器,如亞德諾半導體(ADI)新推出的ADPD4000系列生物醫學前端元件便填補了市場缺口。圖1顯示該系列元件的高階模組圖。前端部分設計兩個相同的接收通道,兩者可同時進行取樣。每個通道都是分別建置,因此系統可用單端或差動量測模式來量測任何感測器的輸入數值。輸入等級(Input Stage)部分是一個跨阻抗放大器,擁有可編程的增益,然後接著一個帶通濾波器和積分器,能加總處理每個取樣的7.5pC數據。 圖1 ADPD4000系列元件的高階模塊圖 ADC是一個14位元的連續近似暫存器(SAR)轉換器,最高取樣率為1MSPS。每個訊號鏈的前端是一個8通道多工器,為類比前端元件提供彈性,能將各種感測器訊號繞送到類比前端元件。 這顆晶片可量測多種訊號,如圖1所示。藉由修改AFE,該晶片可以成為光學前端元件,用來執行光學式心律量測或血氧飽和度。在這種模式中,系統需要一個高跨阻抗輸入等級以便將電流轉換成電壓。另外,還須消除環境光線產生的干擾。 另一個使用情境,則是量測從心電圖或肌電圖(EMG)感測器傳來的生物電位訊號。這種量測需要不同的輸入訊號鏈設定,因此必須重設前端元件的各項設定,接著取得訊號鏈,這顆晶片也支援8個輸出驅動器,可用來提供刺激訊號(Stimuli)。另外也可以設定一或多個輸出訊號來驅動LED以執行光學量測,或是用一或多個輸出訊號來執行阻抗量測,於執行監控膚電活動(Electrodermal Activity, EDA)時產生的皮膚阻抗或電極阻抗等可能影響量測品質的生物電位量測。 這顆晶片讓使用者能預先設定每種組態,或是在某個時槽進行量測,它最多支援12個時槽,這使系統一旦完成初始設定就非常容易使用。此外,這顆晶片不需要額外的處理器資源,有助於將整體功耗壓至較低程度。在晶片方面,則可以進行過度取樣後取平均值,藉以改進ADC的有效位元數(ENOB),而降取樣資料通道的寬度為32位元。量測結果可儲存在256或512位元組深度的FIFO元件如ADPD400x與ADPD410x中。 整合的時戳功能,可以對多個連結感測器傳來的資料樣本進行同步化。多個感測器資料可用來尋找不同量測結果之間的關聯性。圖2顯示這顆晶片用來同步執行心電圖與光體積變化描記圖法(PPG)的量測。基於脈衝傳遞時間(PPT)量測技術,它可以在連續模式下量測血壓,這對高血壓患者來說具有吸引力,而時戳功能就是實現這種量測能力的關鍵。 圖2 同步執行ECG與PPG量測藉以推估血壓 圖3a顯示支援時槽的方式。每個時槽的最前緣是一個預調節脈衝,隨後緊接著為一個刺激脈衝,最後則是光二極體的電流或是ADC取樣的另一個訊號。 圖3b顯示一個作業程序的例子。啟動電源後,接著執行重置作業,晶片便會進入休眠模式;喚醒晶片後,再循序取樣兩個ECG訊號(像是LEAD I和LEAD II),接著進行光學量測,以執行SpO2的讀取,並進行阻抗量測以測量膚電傳導(EDA/Stress)。接下來的段落會說明這幾項量測的程序。 圖3 時槽作業的例子以及ADPD4000量測程序 被動量測電荷排除阻抗兼顧省電 心電圖係量測人體心臟產生的電子訊號,亦即每次心跳時心肌的去極化(Depolarization)與再極化(Repolarization)過程所發出的訊號。這類訊號的幅度範圍在0.5mV至4mV之間,可在0.05Hz至40Hz頻率內測量到。 雖然可以單純用心電圖來量測心律,但在許多使用情境下,人們對於其波形本身更有興趣,因為波形可用來量測心臟表現或預先警告潛在的心臟事件,像是心房顫動或持續性高血壓。人們可以透過將電極連接皮膚來監控心臟活動,而為了在診斷中確保電極能接觸到身體,一般都會採用銀(Ag)或氯化銀(AgCl)材質的濕式電極。在非住院的應用中,這些電極不僅穿戴時極不舒適,而且容易使皮膚乾燥或刺激皮膚。此外,雖然乾式電極也很常用,但皮膚與電極之間的接觸面會逐漸降低,以致對動作假象(Motion Artifact)更加敏感,導致量測精準度下滑。 應用在醫院以外的裝置,總是必須在高品質電極與配戴舒適度之間取捨。但ADPD4000不僅能解決這方面的難題,還可以提供較精準的量測結果,不受電極品質所影響。因為它的ECG電路不是使用電壓輸入,而是量測電容器上累積的電荷,利用被動式電阻電容網路(RC Network)以及取樣率,可計算出最佳化時間常數,進而排除在充電過程中皮膚與電極接觸阻抗的變動。如圖1顯示的心電圖訊號經由電阻電容網路耦合到晶片。這個ECG電路本身能排除皮膚與電極接觸阻抗變動所產生的影響。 圖4顯示兩個心電圖波形。其一波形是用高品質電極所量測,串聯阻抗為51kΩ,電容為47nF;另一波形則是透過品質不佳的電極所量測,其串聯阻抗較高,而接觸阻抗為510kΩ,電容為4.7nF。但可以看到量測到的波形幾乎相同,不受電極品質所影響。相較於市面上其他廠商的解決方案,這項特性是較大的優勢。另外的優點還包括這個電路較為省電,因為它在擷取充電電容上的心電圖訊號時毋須一直啟動,另一項優點,則是它的功耗僅為150μW到200μW。 圖4 透過不同電極量測到的兩個心電圖波形 PPG可編程設計力助生物阻抗量測 在光學與生物阻抗量測方面,需用到LED驅動器來發射光線和激發電流至體內。在許多光學系統中,會用到兩種以上波長,因此晶片的多功能變得極普遍。ADPD4000具備8個輸出驅動器,其中4個通道能使用可編程輸出電流同時啟用,每個通道最高200毫安培,整個驅動器區段(Section)最多到400毫安培。視實際的組態可運用多個時槽工作,每個時槽都有自己的波長可用來量測,諸如光學心律、血氧飽和度、含水量或脫水等。時槽接收到的訊號鏈都配置一個可編程跨阻抗放大器,緊接著一個雙級拒斥模組,以用來消除環境光線產生的干擾。在傳送/接收訊號鏈的訊號雜訊比(SNR)方面,ADPD41xx系列元件最高可達100dB,因此特別適合用在對雜訊敏感的光學量測方面,例如血氧飽和度量測或血壓估算。光學系統的功耗很大程度取決於系統組態,像是取樣率與縮小取樣比率(Decimation Rate),以及採用的LED電流。另外,功耗也和使用者量測的身體位置以及膚色成比例。 許多穿戴系統也能為各種應用量測皮膚電導,其中包括像膚電活動、壓力或心理狀態監控。而為了量測電壓降系統會需要一個刺激電流,ADPD4000則可支援這種使用情境。同時可透過2或4線量測模式來設定晶片,但由於沒有內建增強形波形產生器以及DFT引擎,因此若需要阻抗頻譜,可用AD5940當作對照晶片來輔助。另外阻抗功能還可用來量測電極品質,或是偵測電極脫落的狀況。由於ADPD4xxx擁有8通道多工器,因此也支援輔助輸入,可量測電壓、電容、溫度或是系統內的動作。 穿戴裝置新元件滿足各方需求 隨著如ADPD4000/001等元件的推出,使得研發業者在開發穿戴裝置、身體貼片或藥物輸送系統時所遇到的許多挑戰都能迎刃而解。在這些使用情境中,效能、尺寸以及功耗都是關鍵。該款全新生物醫學前端元件具備高效能、雙通道感測器輸入等級、刺激通道、數位處理引擎、時序控制等元素,因此能滿足所有需求。上述元件已開始量產和供貨,而下一代商品已在2020年第一季上市。新世代元件改進了訊號雜訊比規格,並納入額外的功能,有助於進一步降低整體系統功耗。儘管功能全納入到單一晶片,但這並不會讓電子設計工程師的需求降低,因為每個系統都有自己的特性,同時還有許多參數必須逐一調校設定。 (本文作者為ADI醫療保健事業開發經理)
0

專訪安馳科技專案技術應用工程經理黃信傑 疫情/中美貿易催化工業4.0發展升溫

安馳科技專案技術應用工程經理黃信傑表示,台灣製造業目前正處於智慧密集(工業4.0)階段,可看到許多上市櫃公司與法人單位(如工研院),挹注大筆研發經費與人力資源發展工業4.0,同時政府亦推出生產力4.0發展計畫,整合台灣既有軟硬體與媒合創新產業。 圖 安馳科技專案技術應用工程經理黃信傑表示,憑藉台灣優良的半導體產業聚落與在工業製造的配合度、學習力與彈性,台灣已正式邁入工業4.0時代 而在這樣的發展趨勢下,安馳科技也不曾缺席。黃信傑談到,該公司在今年的北、中、南自動化展會上,特別展示出安馳科技結合ADI元件、電池監控系統與人臉辨識系統等方案,以滿足未來工業4.0及電動車發展的需求。 除了在解決方案的布局策略外,事實上早於一年前,安馳科技就與Macnica結盟,攜手布局工業4.0的領域。安馳科技資深協理陳發勇指出,此次與Macnica的合作,為該公司帶來兩個層面的優點,包含產品線的增加與資源共享的好處。 陳發勇分析,相較於過去尚未與Macnica結盟之前,在地化的代理商要拿下國際大廠的代理權可說是難上加難,有了Macnica的合作,安馳科技才得以順利爭取到如安森美的代理權;其次,在資源共享上,安馳科技與Macnica集團本身都有提供完整的解決方案與參考設計,而兩家公司最大的代理產線來自於ADI的方案,故雙方每年會固定針對ADI產品參考設計進行討論,同時各自研發共享資源,共拓亞太市場的版圖。 黃信傑表示,台灣有著優秀的半導體產業聚落,過去著重在硬體的開發。接下來工業4.0時代,關鍵將是大數據的蒐集與分析,能有效整合軟硬體並搭配大數據蒐集與分析,就能在這領域脫穎而出。台灣企業在工業製造的配合度、學習力與彈性都優於其他國家,預期將會在此占有一席之地。
0

感測/無線連接/AI高度結合 智慧物聯網萬事俱備

隨著無線感測與感測器技術演進,物聯網的應用逐漸落地,其中設備維護、無線網路連接、深度感測及人工智慧(AI)運算需求,皆是物聯網發展的重要技術。工業4.0透過自動化狀態監測(CbM)的即時預警,能確保產線上的設備正常運作,以及大型公共建設與交通系統安全。Wi-SUN千點組網則確保遠距傳輸的穩定性跟速度,而3D深度感測實現各項環境辨識與虛擬實境應用。最終加上人工智慧的運算助力,感測器的精準度便能顯著提升。 MEMS感測器高穩定/低成本助攻CbM 工業4.0時代,工廠走向智慧化、自動化,生產線上的機械手臂應用越來越多,但設備存在長時間使用後失效的風險,因此需要感測器即時預警生產線上的突發狀況,避免造成巨大損失。茂宣專業技術經理王浚睿(圖1)說明,以晶圓廠為例,設備失效最嚴重的狀況,可能是產線停工所導致千萬元的損失。此外,CbM也能應用在公共建設與交通工具,如橋梁、飛機、火車系統中,能夠避免意外發生。 圖1  茂宣專業技術經理王浚睿表示,MEMS感測器採用CMOS製程,具有產品的一致性佳,對於低頻訊號的反應回饋良好 CbM的振動量測在感測器的選擇上,常見壓電式(Piezo)或微機電系統(MEMS)兩種類型。Piezo是市場上目前比較常見的震動感測器,使用陶瓷材料設計的壓電元件,只能手工量產,所以產出有限且成本較高,在低頻訊號方面的反應較為遲鈍,並容易受到環境溫度影響而出現飄移。相較Piezo,MEMS感測器採用CMOS製程,具有產品的一致性佳,對於低頻訊號的反應回饋良好,且雜訊強度(Noise Density)低、不容易因為溫度變化飄移等優勢,可以做為震動感測的選項之一。例如亞德諾半導體(ADI)的ADXL系列MEMS感測器除了噪聲比較低,還具備無線模組,使得感測器的布建更方便。 建置MEMS感測器時,需考慮位置、連接方式、馬達以外的機件、尺寸四大面向。王浚睿解釋,位置方面,尋找震動源之前,須確定量測的位置正確。如果測量的位置跟震動源距離太遠,或是傳導的時候震動幅度已經遞減,量到的訊號就不夠精確。同時,感測器連接的方式很多,找到正確的感測器型號來連接待測物是一大重點。各型號的感測器頻率響應曲線不同,須依照感測器標注的最大測量頻率選擇適合的類型。接著,確定在機械結構中欲測量的部分,才能確認震動所造成的異音為高頻或低頻訊號。最後,感測器的尺寸應取決於整體的配重。感測器不能比待測物重,以免影響待測物本身的震動狀況。 藉由CbM的應用,正確建置的MEMS感測器能隨時感知生產線上的震動狀況,並在出現異常現象時即時預警,避免設備問題而影響產線運作。此外,CbM為交通系統與大型公共建設維持安全性,促進工廠安全及城市安全的維護工作朝向自動化發展。 Wi-SUN具遠距傳輸/高穿透特性 智慧城市的應用與物聯網息息相關,未來物聯網將有非常多結點布建到城市中,海量的連線需求需要高覆蓋、穩定的通訊系統支援。濎通科技行銷經理呂沐勳(圖2)觀察物聯網通訊的痛點,遠端更新是必要的功能之一,因為軟體不斷更新,如果裝置不具備遠端更新的功能,就需要靠人力個別更新,不符合成本效益。電池方面,使用電池發電的裝置,需要考慮電池壽命,如果電池更換得太過頻繁便會拉高成本。同時,有些通訊協定由廠商自行開發,因此發展新應用時,必須諮詢原先制定協議定的公司,才能擴大發展相關應用,顯得限制重重。 圖2 濎通科技行銷經理呂沐勳認為,Wi-Sun技術適合應用在智慧城市、智慧能源等領域                   面對大範圍的無線網路傳輸需求,呂沐勳認為,Mesh組網的Wi-SUN技術可以解決前述的物聯網通訊痛點,適合應用在智慧城市、智慧能源等領域,如東京電力公司已全面使用Wi-SUN智慧電表,取代NB-IoT電表。Mesh組網具自適應的網路系統,可以自動組網,當環境中增加新的節點,Mesh組網會自動連線。另外,因為Mesh組網具備自動修復功能,如果網路中增加新的建築物,切斷原本的組網路徑,Mesh組網便會透過別的節點重新連接,維持連線順暢。 看好Wi-SUN的特性,濎通科技提出Wi-SUN通訊方案,採用RF及PLC的雙模融合技術,設計出整合線傳輸PLC跟無線傳輸的單晶片,運用演算法自動切換,在無線連線中斷時執行有線傳輸,有線傳輸中斷時則改用無線連接,達到同時滿足快速且穩定的長距離傳輸效果。 呂沐勳進一步說明,良好的物聯網通訊解決方案應具備三項特色,其一是無頻段授權/通訊費。以電信商營運的NB-IoT為例,在電信商的管理之下,每個節點都需要支付電信費用,導致傳輸成本較高。二則是具有長距離/高穿透/廣覆蓋,以及自動組網/自動修復功能,以濎通的VC7300為例,其優勢便在於可從地下2樓傳輸到地上6樓,滿足智慧電表的抄表需求。最後則是支援IPv6協定,才能讓每個節點都有身分認證,確保連線安全。 3D感測走入消費市場 感測技術與無線通訊的結合促使物聯網應用落地,而感測領域其中的一大趨勢即為3D感測。艾邁斯半導體台灣區總經理李定翰(圖3)提及,3D感測的應用越來越熱門,其發展主要聚焦在行動裝置、智慧家庭、工業自動化與自動駕駛四個面向。行動裝置上的應用演進最快,從過去以鏡頭為重心的設計,轉為加入距離測量、人臉辨識、虛擬實境遊戲、實境導航等功能。在安全驗證方面,智慧型手機及智慧建築的身分驗證不只透過指紋,更搭配臉部辨識提高安全性。同時隨著疫情出現戴口罩而難以辨識人臉的情境下,中國已研發出可以辨識戴口罩的臉部辨識系統。 圖3 艾邁斯半導體台灣區總經理李定翰指出,目前ToF的應用逐漸從iToF走向dToF 當3D感測應用在智慧家庭,以掃地機器人為例,過去的掃地機器人大多藉由放置虛擬牆或使用紅外線偵測決定移動路線。新一代放入ToF感測器的機器人,在清潔空間之前,會先行掃描環境,甚至搭配3D感測布建地圖,計算出最快速及省電的打掃路徑。如果將3D感測模組放入冰箱中,便能測量裡面的材積容量大小調整溫度,或者提出某些區塊的食物已經放超過一個禮拜的警示,達到省電與協助管理食材的效果。 李定翰表示,目前ToF的應用逐漸從iToF走向dToF。iToF的鏡頭有很多限制,在陽光下感測器很容易飽和,同時進行多工傳輸的路徑容易讓運算有問題。而dToF的量測則更為精確,可測量的距離也更遠。隨著電子元件及PVC的精確度、製程進步,dToF很快就會取代iToF,例如臉部辨識的變型,可以結合最新的dToF輔助演算。如線上購物廠商,為鞋子、衣服的尺寸數據建立資料庫,消費者只需要輸入身高、三圍,即可在網站上進行3D試穿模擬。 AI力助終端感測 除了3D感測,在AIoT市場,感測器的應用也開枝散葉,智慧醫療、智慧家庭、智慧城市、智慧農業,無處不見AI、IoT與感測器結合的應用。Arm應用工程總監徐達勇(圖4)舉例說明,醫療照護藉由生理感測預警疾病症狀;工業4.0藥品包裝產線,採用人工智慧視覺辨識,確認每個包裝內的藥品數量相同,或者透過震動感測確認工廠設備有無異常;農業中的蝦子養殖,運用AI影像辨識,確保蝦子的飼料不會因為過量而影響水質,也能隨時觀察蝦子的健康。 圖4 Arm應用工程總監徐達勇提及,Arm預估2020~2024年,每年AIoT裝置會有至少20%的成長 AI運算的位置分為雲端、本地及裝置三種,徐達勇指出,調查客戶希望AI運算的位置,53%的客戶青睞在裝置端運算,比較困難的特定需求再進行雲端運算。雲端運算雖然提供強大的算力,但是延遲問題、高頻寬需求、安全性跟隱私疑慮,促使多數客戶傾向選擇在裝置上運算。 雖然客戶偏好AI的終端運算,然而終端運算會面臨幾項挑戰。一是終端裝置的應用很重視使用者體驗,需要提高算力才能達到提高使用者體驗的目的。此外,終端裝置的設計重視成本控制,同時裝置電力來源多半是電池,因此低功耗也是設計重點。最後,不論選擇何種運算方式,隱私安全都是客戶重視的關鍵。對此,Arm近期設計的IP Cortex-M55便以加速AI運算為目標,特別加強DSP跟機器學習的運算能力。 如果採用通用處理器執行機器學習運算,相對的效能比較差,生產晶片的成本就會提高,所以此設計聚焦在DSP/機器學習的運算能力提升,並且提高處理器或能源的效率,達到降低功耗的目的。資安方面沿用Arm第8代MCU開始的TrustZone功能,處理器可以分成兩種執行模式,安全性比較敏感的內容就使用安全模式執行。 觀察AIoT的趨勢,徐達勇表示,Arm預估2020~2024年,每年AIoT裝置會有至少20%的成長,並且到年底之前,至少20%的終端裝置會具備機器學習功能。因此Arm專注AIoT的市場發展,IP瞄準AI終端裝置的效能需求設計,可望滿足未來不斷增加的市場需求。
0

開放銀行/場景金融/普惠金融 數位金融三大穿雲箭超前部署

每當讀者接到銀行或保險公司的電話行銷專員來電,會有什麼反應?是耐心聽他說完冗長的話術,委婉跟他說正在忙,還是直接掛他電話? 很多人一直很難理解,都已經進入數位金融的時代了,為何還有如此傳統、沒有效率、又不精準的電話行銷作法? 金融業者理應掌握了消費者的個人資料及財務狀況,甚至還有線下到線上非常詳細的交易記錄,怎麼還有如此不科學、缺乏資料分析能力的行銷手法?因為銷售模式太過落後,因此,若電話行銷專員被狠心拒絕,也就不足為奇了。 事實上,隨著金融科技成為顯學,人工智慧(AI)、大數據的應用大舉進入金融產業,近幾年金融業的數位轉型腳步發展明顯加速許多,在數位金融的浪潮之下,又以開放銀行(Open Banking)、場景金融、普惠金融等議題最受關注,堪稱金融業超前部署最熱門的三大關鍵字。 開放銀行實現金融數據共享 開放銀行的概念其實很簡單,就是過去用戶的金融消費資料都是掌握在金融業者或監管機構手上,但基於「數據共享可以產生價值」的核心精神,由政府出面強制規定金融業者在用戶同意的前提下,將相關數據的使用權還給消費者;在資訊開放透明的情況下,可降低第三方服務業者(TSP)的進入門檻,並刺激金融業者發展更多創新服務。 開放銀行最早由英國政府於2015年開始推動,後來許多國家也都相繼跟進,台灣也在2019年中加入戰局,分三階段實施:第一階段的「公開資料查詢」已經完成,將開放利率、匯率、產品資訊、分行資訊、ATM位置等公開的金融資訊為主,讓用戶可以輕鬆比較各家銀行的定存利率、房貸利率與外幣匯率等資訊。 第二階段則以「消費者資料查詢」為主,開放消費者本人同意的授權資料,讓消費者在同一介面看到各銀行的存款、貸款、信用卡、保單、基金、繳費等資訊,也能在B銀行直接使用A銀行的個人金融資料。 下一步進入第三階段後,則將開放且能直接執行所有「交易資料」,消費者可直接透過第三方服務業者的App連結不同帳戶,進行扣款授權、消費支付甚至貸款清償等作業,真正邁向開放銀行的願景。 在這樣的開放架構下,不管是電信業者、零售業者、科技業者與各種產業,都能針對用戶打造個人化、客製化、精準化的消費體驗,打破金融數據長年被壟斷的情況;最重要的是,金融業者將從過去「畫地盤」的保守心態,改變為「共享地盤」的開放思維,轉而與不同產業積極合作,才有創造新客戶、新業務、新市場的機會,例如保險業者可以與醫療機構進行數據共享,打造更符合消費者需求的保單內容與合理的保險費用;信用卡業者可以與百貨零售業者、電信業者合作,在實體場域投放更精準的行動廣告給潛在消費者(圖1)。 圖1 金融科技的發展趨勢 (資料來源:KPMG) 場景金融讓銀行無處不在 過去民眾要處理金融業務,必須跑去銀行或ATM,後來有了網路銀行及手機App,部分業務可以透過電腦或行動裝置遠端進行,在不久的將來,銀行將真正打破實體場域的界線,在不同的應用場景都能享受串連好的金融服務,銀行將是無處不在。 業界也有另外一種說法—「內嵌式銀行」(Embedded Banking),亦即銀行將變得「無形」,包括存匯、貸款、保險、信託、信用卡及財富管理等金融服務,透過科技工具嵌入到客戶食、衣、住、行、育、樂等生活環境中。 舉例來說,永豐銀行就與多家廟宇合作推廣場景金融。信徒可以到具有Q版神像的ATM領錢,印出來的明細表就有籤詩,然後可以憑著上頭的QR Code去換取「平安水」;另外也可下載App,信徒不用大老遠奔波就能遠端點光明燈、添香油錢、抽籤詩,將金融科技與信仰文化完美結合。 國泰金控則與多家旅遊平台合作,打造更有智慧的旅遊金融場景。當顧客在旅遊平台上訂購行程後,系統就會詢問是否需要購買旅遊平安險、換匯等相關需求,或者機場接送、機場貴賓室等服務,顧客可選擇用信用卡點數兌換或優惠價購買,不用重複填寫個人資料;另外,由於掌握消費者的行程、交易行為與消費偏好,後續也能提供個人化的旅遊商品進行精準行銷。 若再以購屋為例,當房仲順利成交了一筆物件,過去銀產業者頂多只能爭取房貸及產險業務,但在場景金融的架構中,包括室內設計、房屋裝潢、購買家具、搬家等服務都可統整在其中。 可以預期的是,未來不管是在辦公大樓、校園、社區中,或者到遊樂園、逛夜市、看展覽,都會有業者建立類似的場景金融。銀行不再只是聚焦於數位金融能夠幫公司省多少錢、或賺多少錢,而是打造「以客為本」的創新用戶體驗,讓金融服務真正融入生活、體現溫度(圖2)。 圖2 美國民眾對行動銀行功能的需求 (資料來源:Business Insider Intelligence) 普惠金融降客戶認證門檻 金融服務雖然本質上是開放給所有用戶使用,但不可否認的是,基於風險及獲利等考量,幾乎所有金融體系都是遵守80/20法則,將多數資源用來服務金字塔頂端的VIP客戶。儘管聯合國早在2005年就提出「普惠金融」的概念,希望普羅大眾都有平等獲取金融商品與服務的機會,但因為客戶認證(KYC)的成本太高,實際運作上很難實現這個願景。 直到近幾年金融科技的發展,才讓金融服務開始打破地理、收入、社會階層等界線,其中尤以開發中國家的推展成績最為明顯(圖3)。例如,在基礎建設較為匱乏的一些國家,民眾不容易到銀行或ATM使用提款、匯款、貸款等服務,甚至許多人連銀行帳戶都沒有,就有不少傳統銀行與新創業者聯手,透過手機App或實體商家提供簡易的金融服務。 圖3 2014~2019年全球金融科技投資交易件數及金額 (資料來源:KPMG) 而印尼人民銀行為了將金融服務推廣至離島及偏鄉地區,就推出一系列的App,民眾不用跑到分行,可以在兩分鐘內掃描證件完成開戶作業,比起原本的兩週大幅縮短作業時程,然後即可透過App進行存款、提款、貸款等業務。 同時,新加坡金融科技公司soCash,則是用簡單的設備搭配App,即可讓店家化身為銀行分行,取代現在投資報酬率偏低的ATM,民眾在這些店家的櫃台即可辦理提款、貸款、換匯等業務;目前其與星展銀行、渣打銀行、中國工商銀行等合作,在新加坡、印尼、馬來西亞等國推展,光是新加坡就有1,500家合作店家,並有超過20萬用戶。 至於台灣也有部分金融科技公司以AI技術投入普惠金融領域。如諦諾智金(Adenovo)就與嘟嘟房合作,針對汽車族與機車族推出超快速「車貸」服務,由諦諾智金針對用戶的消費記錄、收入紀錄及信用記錄,結合嘟嘟房的車主行為數據,建立精準的車貸風險評估及多維度信用分析模型,讓車貸可以做到「秒貸」審核,也讓消費者、車商、保險公司與銀行都能攜手互助,以信任的種子長出普惠金融的大樹。
0

5G帶動通用型電信伺服器需求 國際伺服器大廠各顯神通

資策會MIC產業分析師蘇奕霖 以美國電信營運商為例,目前AT&T為全球走在電信網路虛擬化的領頭業者之一,其目標為2020年底前達成75%網路功能虛擬化,意味著不論是在核心網路或是邊緣網路,將有大量通用型電信伺服器之需求。在更多電信商跟進下,2020年全球電信用伺服器產值預計將達到77億美元(圖1)。 而在伺服器建置場景的部分,目前觀察可能多來自核心網路虛擬化以及邊緣運算兩大場景,特別是在5G網路世代下,核心網路的部分運算功能已下沉到邊緣做運算,對於邊緣伺服器之需求相對較為明顯,近年市場上也出現針對5G邊緣運算的新款伺服器。 然而,目前5G商用化也剛滿一年左右,國際各大電信營運商的5G布建也多集中在幾個重要城市,皆未達到廣域覆蓋的程度。整體來看,電信用伺服器中,專屬5G網路的產品,預計仍占總數不到一成。然而,隨著未來電信基礎設施持續地布建與更新,通用型電信伺服器之商機仍為各界所期待。 圖1 2018~2020全球電信用伺服器產值估算 國際伺服器主要品牌業者動向彙整 為了搶食通用型電信伺服器的商機,主要的伺服器品牌大廠均卯足全力,展開各方面的的技術跟市場布局。 戴爾 以生產、設計、銷售家用和辦公室電腦起家的國際伺服器領導業者戴爾(Dell),如今也跟隨著全球網路通訊技術的演進,在5G商用化之際也定位成5G的重要參與者之一。Dell之所以有能力從伺服器產業跨足至電信通訊產業至少有兩項關鍵要素,第一為市場契機:電信網路架構之演進和改變,第二為關鍵商業策略:Dell過去重要的併購案件。 過去,電信營運商的網路建置所仰賴的是網路設備供應商所訂定的專用硬體和軟體,但隨著技術的演變,電信網路也從傳統的架構朝著虛擬化、容器化、雲運算化等的開源式架構演進,使得多數電信營運商轉向基於通用化的低成本硬體設備以及可以靈活操作的軟體平台。Dell便是看到因網路架構之轉變而產生的市場機會,希望可以藉由此機會提高Dell在電信網路市場的市占率。 有機會也需具備相對應的能力。Dell在2015年時完成了一項670億美元的EMC(主要為提供資料儲存和管理等相關產品和服務的跨國IT企業)併購案,該交易將全球最大的儲存器供應商與伺服器製造商合併,協助Dell成為唯一擁有從PC到數據中心全套IT產品的供應商。 此外,EMC早在2004年以6.25億美元收購了VMware,VMware是提供雲端運算和硬體虛擬化之全球領導業者,因此在Dell併購EMC的同時,也一併將網路虛擬化和雲端運算相關的軟體技術納入旗下,並從過去老牌的電腦業者轉型成為儲存、伺服器、雲端IT基礎架構與雲端虛擬管理軟體系統龍頭業者之一,已為進軍5G市場打好基礎。 HPE 同樣身為伺服器龍頭品牌業者,HPE同樣也看到伺服器在電信市場之商機,但在整個商業布局上卻與Dell有不同之處。Dell依靠併購EMC來強化其在企業級IT設備之地位,而HPE則是在2015年拆分雲端及伺服器等相關設備業務,成立HPE專門負責雲端及伺服器等企業軟/硬體解決方案。此作法主要用意是希望HPE能夠專注於雲端事業,並可靈活應對市場趨勢。 然而,光靠「專注」並不足以讓HPE立足於電信網路市場。HPE也併購大量相關企業累積自身能力。舉例而言,因應網路架構的虛擬化和雲端化,HPE在2018年時併購SDN新創公司Plexxi,強化其雲端市場的布局。除了Plexxi,HPE在2018年另外也併購Cape Networks,期望藉由其AI解決方案進行有效率的網路分析和監控,進而幫助網路服務提供商能夠有效降低營運成本,並且為終端客戶提供最佳用戶體驗。雖然HPE諸多的併購案看似非直接是為了要跨足電信市場,但不可否認HPE已藉由此類併購,不斷增強網路虛擬化和雲端運算的能量。 華為 作為全球三大網路設備供應商之一的華為,華為在電信用伺服器銷售也因此具有優勢。相較於Dell或是HPE跟著虛擬化網路架構之發展趁勢進入電信伺服器市場,華為在傳統封閉的網路架構中已具備電信專用設備之相關技術能量與銷售實績。而如今隨著網路架構之演進,華為也積極提供高效能的運算和儲存服務,藉此協助電信營運商5G的布建並大幅降低網路營運成本。 華為另一項關鍵優勢為具有處理器晶片設計、研發、生產等能力。舉例而言,華為於2019年初時發表了一款基於Arm架構的伺服器處理器「鯤鵬 920 (Kunpeng 920)」,並號稱其為業界最高性能的伺服器晶片,可與同樣為華為自己所開發的開放式伺服器作業系統做互相搭配,發揮伺服器最佳效能。未來華為將持續投入30億人民幣的資金,以支持相關產業生態系的建置和發展。 浪潮 浪潮為中國大陸主要伺服器供貨業者,除伺服器外,其他產品包括雲計算、大數據、資訊安全等相關產品,旗下亦有「浪潮軟體」,其軟體相關收入也曾被列為中國大陸企業前5名之一,顯示浪潮不論是在硬體設備或是軟體解決方案上,皆具備一定技術能力,浪潮也將上述能量轉變成為踏入5G電信市場的重要基石。 在過去幾年中,浪潮已陸續證明其跨足5G電信領域之決心並發表多項產品和解決方案,包括硬體設備、虛擬化平台、雲服務等運算產品。此外,浪潮也與國際相關領導型業者合作,如Red Hat和Kaloom等,共同成立5G聯合實驗室,發布了虛擬數據中心機房(Virtual Central Office,VCO)解決方案。浪潮已經根據自身雲計算、大數據、人工智慧等優勢,開發出各種5G解決方案,並且將商業目標領域鎖定在能源、交通、金融、教育、園區等垂直應用領域。 因為5G市場商機的浮現,國際伺服器品牌業者皆爭相投入電信領域。觀察這些領導品牌業者的重要布局,可發現各業者早在網路開始虛擬化的初期,便以不同的方式切入電信市場。 舉例而言,Dell靠著併購EMC(連同VMware),整併包括儲存裝置和網路虛擬化以及雲相關的軟體技術,以提供最完整的整體解決方案;而HP則是靠切割業務,成立HPE專門負責雲端及伺服器等企業軟/硬體解決方案。雖然兩大業者作法不同,但目的同樣是希望能在電信市場分得一杯羹,特別是目前在邊緣運算領域,兩家業者相繼推出一新一代邊緣運算伺服器,主打5G電信市場。 而華為在美國禁令等一連串的影響下,使其在歐美市場發展受到限制,目前可能僅在非核心網路的部分才較有機會。但華為本身既為網路設備供應商以及擁有處理器晶片研發的能力,能夠以整套網路系統綁定之方式出售其產品,因此在不受禁令影響之區域擁有較穩固的市場利基。浪潮主要則是瞄準亞洲市場,特別是在中國大陸,除新推出的邊緣運算伺服器外,其新開發的「+5G」平台也已做好進攻垂直應用領域的準備。 晶片大廠布局不落人後 從硬體規格來看,處理器為伺服器最重要的關鍵核心,使占據伺服器處理器晶片市場占有率9成以上的英特爾(Intel),成為5G電信市場不可忽視的存在。英特爾不僅已推出一系列針對5G相關的晶片產品,Dell、HPE以及浪潮近期推出的邊緣運算伺服器,也都採用英特爾相關系列晶片,顯示英特爾即便是在電信領域中也擁有一定程度的話語權。 再者,當5G網路逐漸成熟時,未來的網路流量與資料量也將大幅度地提升,導致處理器需要依靠加速器來分擔部分運算功能。雖然英特爾已針對此趨勢推出ASIC加速器,但目前市場上仍以GPU以及FPGA為加速器優先採用晶片,成為其他相關晶片業者的競爭關鍵。 無論是電信業者、雲端運算服務大廠,對於5G在電信核心網路與邊際網路的新商機都不敢忽視,因此除了Intel外,NVIDIA、超微(AMD)、Marvell、博通(Broadcom)等晶片大廠都積極布局。未來幾年隨5G市場起飛,電信用伺服器的供應鏈將有許多看點,也將與臺灣的相關伺服器業者緊密結合。 (本文作者為資策會MIC產業分析師)
0

找到不可理喻的不可思議

傍晚在北上行程的車廂裡,又看到親切的高鐵服務員,認真地執行推車販賣服務,一樣輕快的碎步,一樣順暢的滑過走道,這節車廂的營業額還是零,這次執行任務成本的投入,還是一樣沒有跟消費者有任何形態的互動。這兩次的觀察經驗,激起我的好奇心,轉頭問坐在旁邊的同事:「如果你是高鐵推車販賣服務的產品經理(PM),有什麼辦法可以增加營業額?」 文 | 萬岳憲 資策會MIC產業躍升事業群總監 另外一位同事,則唸出台灣高鐵官網的公開資訊,原來推車販賣服務是高鐵標準車廂的基本服務之一,而且還有說明提供這項服務,是因為乘客在旅途中,會想要品嚐餐食、飲料、點心,所以提供這項貼心的推車販賣服務,讓乘客不需要離開座位,就能夠享有多樣的餐點選擇與優質的服務。 聽完官網的資訊後,我便理解推車販賣服務是高鐵的標準程序之一,就因為是標準程序,所以優先關注執行的指標是「擺滿商品的推車,一定要定時推出來」,否則官網的資訊就是在欺騙消費者,至於「有沒有人買推車裡的商品」這件事,就不是那麼重要了,畢竟不能強迫跟乘客推銷嘛! 德國心理學家敦克爾(Karl Duncker)的研究發現,當人們習慣從整體的角度來看待事物的時候,就很容易被本身的經驗與外在環境影響,對身旁事物的功能或結構,產生認知上的「固著」(Fixedness)現象。高鐵上的「一定要推車出來」就是固著現象,至於「能不能吸引很多人買」的想法,就會被認知固著給蒙蔽,這是人們受到每日例行工作的本能反應,與個人的素養高低無關。 敦克爾教授認為,想要排除固著現象,要從管理者開始做起,嘗試以鼓勵團隊的方式,提醒或暗示部屬重新看待例行工作內容,當部屬處在不同的認知脈絡暗示下,對於原來熟悉事物的某部份消失或變異之後,才有可能重新脫離「固著現象」的影響,重新觀察或看待舊有的事物。 所以,我就跟同車的兩位同仁說,假設「吸引很多人買」要優先處理,其次才是「一定要推車」,有沒有什麼方法可以提升買氣?讀到這裡,你有沒有什麼想法呢? 果然就出現很多不需要依賴推車,就能夠銷售產品的創新構想,而且還有很多不錯的創意,是充分利用到車廂設備與環境,甚至還考慮到乘客在旅途中,遇到促銷活動時的可能反應。我邊聽邊記下,每一條很有趣的創意銷售,數一數竟然有九個構想,收穫不少。 發散過後,就是該收斂的時刻,否則創意就會失去意義,沒有意義的創意,是不能變成生意的。我立刻戴上「黑色思考帽」說:「所有的促銷活動都不可以做,會影響乘客的行車舒適感和高鐵的形象,乘客都花錢買車票了,怎麼可以再想盡辦法掏乘客的荷包?」。 瞬間,紅色和黃色思考帽,被我幾句話轉換成白色思考帽,同仁說:「對吔!如果乘客是我,我的感覺也會不好;而且高鐵官網寫的是『乘客會想要』,這個意思就是,不能主動向乘客兜售促銷商品。」另一位同仁出現藍色思考帽,他認為還是應該以乘客的舒適感為優先,從這個角度來看,高鐵的推車販賣服務,還是以「被動銷售」方式為最佳的策略,因為以客為尊,客訴為恥。 30分鐘前的創意構想,被一頂黑色思考帽打回原點,這樣的場景你有沒有似曾相識,開會討論的內容,最後又被一個不能打破的原則,給全盤否定掉。 雖然這個時候,我可以嘗試戴上黃色思考帽,告訴大家,如果「魚與熊掌可以兼得」,營運高層應該是樂觀其成的。也就是說,可以顧及乘客旅途的舒適感,又能夠讓乘客對推車販賣服務有好感,產生正向的消費互動行為,將「推車販賣」的例行工作,轉變為獲利逐漸攀升的明星產品。可是,要求同仁迅速轉換思考帽顏色,從理性客觀的思考模式,再重新回到創新探索的思考空間,不是很容易的事,必須運用其它的思考方法。 美國哥倫比亞大學教授高登伯格(Jacob Goldengerg)與辛辛那提大學教授博依(Drew Boyd)提倡盒內思考法(Inside the Box)。這是一個依賴資深員工的思考法則,要讓所有的創新構想,都被框架在組織現有或有限的資源內發展。將舊的產品結構或服務功能,逐一拆解條列,然後再運用簡化、分割、加乘、功能整合、屬性相依的思考模式,想像任何一個關鍵組件或功能被移除之後,會發生什麼樣的變化,然後再想想組織裡,有哪些資源可以協同發展。 盒內思考法是讓所有創新構想,都被框架在組織現有或有限的資源內發展 我認為,這個時候是運用盒內思考法的好時機,我提出一個問題:「如果拆解推車販賣服務的每一個環節,讓我們來想想刪除或凍結其中一個環節,會不會有新的服務方式出現。」立刻有人問:「那要刪除或凍結哪一個環節?」我說,「就凍結獲利吧,不能賣東西給乘客。」 超過十秒鐘的靜默,沒有人講話,我知道大家撞到瓶頸了,明明說要提升獲利,怎麼又說不能賣東西給乘客,這不是自相矛盾的事。又大概過了十幾秒,我知道應該要給點提示了,才能排除目前停留在思維裡的固著現象。「大家先不要想方法,先想想情境,想像一位很親切的高鐵服務員,推著車子出現,每位乘客都很愉快地從推車裡,拿到自己想要的餐點,再很愉快地跟服務員說謝謝,服務員臉上依然帶著很親切的微笑,以輕快的碎步,推著擺滿商品的推車向前,很順利地滑過走道,滿足每位乘客的需求。」「不賣嗎?」有同仁問,「不賣」我說。 我剛才定義的不能賣商品給乘客,但是又要讓每位乘客都拿到商品的情境,彷彿打開了潘朵拉的創意黑盒子。有人輕聲地說:「這個想法太厲害了!」我微笑地等待即將爆發的創意構想。「可以找廠商贊助」有人說話了。「因為高鐵每天有這麼多的乘客」有人跟著說,然後創意就跟著出現了,「可以做到體驗行銷吔」、「其實推車就是一個廣告平台」、「跟想要做廣告的廠商收權利金」、「配合時令節氣推出贈品」、「中秋節每人拿到一口小月餅」、「拿到一罐小飲料」、「靠站就推出當地的特產」、「乘客會在旅途過程中拍照發FB」、「一定會有人用Line傳好康訊息給朋友」、「那我以後坐高鐵就會期待,這次會拿到什麼樣的免費贈品」、「⋯⋯」。 我已經抄下來很多的創意構想,是時候要與財務連結思考了,我又問:「高鐵每天有幾個班次?每班次有幾節車廂?每天的推車服務可以出現幾次?每次可以服務幾位客戶?行銷宣傳效果的投資報酬率(ROI)有多少?」。坐在我右邊的同仁說:「高鐵每班次共12節車廂,總共989個座位,我用費米推論,粗估營業額及投資報酬率應該有⋯⋯」。此時,高鐵車廂廣播:「台北站到了」。
0

高畫質影像邁向新世代 8K市場尚須5G/內容產業助力

為迎接原訂2020年舉辦的東京奧運,日本提出8K的賽事轉播,掀起一波8K高畫質熱潮,隨後2020年初CES展會中,LG、三星、Sony等廠商相繼推出新型的8K電視,搶攻消費市場。8K技術的發展除了提升遊戲及觀影體驗,也因為影像所能呈現的細節增加,對於遠距學習及大型商業廣告的影像品質也有相應的幫助。 在硬體支援及市場需求的共同推動之下,廠商投入開發8K面板驅動IC及影像處理的技術開發,期望提升影像的品質。隨著5G布建邁向成熟,傳輸速度提升將帶動8K的影音內容興起,加速市場成長。針對未來8K市場的趨勢,本文將整理8K面板驅動IC及影像處理的發展重點,藉以分析8K影像市場的趨勢。 驅動IC為顯示器效能關鍵 面板廠的產品開發在8K市場中遙遙領先其他設備,因此奇景光電瞄準顯示器市場,提供8K顯示器時序控制器(Tcon)與驅動器IC解決方案。奇景光電資深處長何俊德說明,在驅動器IC的設計上,須考量8K顯示器對超高解析度及更新頻率快速的要求,導致面板的可充電時間縮短。另外,大尺寸面板才能展現8K的細膩畫質,但是越大的面板尺寸,受到面板內走線阻容遲滯影響的可能性越高,因此需要驅動能力更強的IC,以及具備補償運算能力、可改善畫質的Tcon,以補償面板內的走線阻抗遲滯。 何俊德進一步解釋,8K顯示器尺寸大,當驅動器端接收來自Tcon/SoC端的高速訊號時,常因為傳輸路徑過長造成訊號衰減,需要良好的接收電路克服訊號衰減所造成的顯示異常。因此奇景驅動IC內的接收電路,在設計上減輕訊號衰減程度,還原正確的顯示資料。 奇景視面板為值得投入的重要成長領域,目前針對8K LCD面板推出第一代8K Tcon及相對應的驅動IC,預計在2021年推出升級版的第二代產品。此外,奇景也投入開發8K Mini LED背板驅動控制等相關技術,可搭配Mini LED面板展現出更好的畫質及功耗表現。針對高階的8K OLED面板,則同步與相關面板廠進行合作與開發。 8K直播翻轉娛樂產業 除了面板驅動IC的技術,8K影像還需要透過編碼、解碼、壓縮等方式處理。影像SoC方案供應商Socionext為8K開發影像處理所需的單晶片,專攻ASIC市場,提供客戶客製化服務。Socionext業務部總監張育豪(圖1)表示,作為客製化單晶片的供應商,Socionext早在3年前即投入8K的影像處理市場,採用28nm製程,開發體積小巧的SoC,製作出來的設備使用USB 2.0的dongle即可連接,提升便利性,下一步Socionext的SoC將朝向7nm及5nm製程邁進。 圖1 Socionext業務部總監張育豪表示,Socionext的編碼與解碼技術以低延遲/低功耗/小型化為核心特色 Socionext的編碼與解碼技術以低延遲/低功耗/小型化為核心特色,可支援8K直播,適合應用在賽事/演唱會轉播及醫療影像等領域。配合近期的防疫需求,Socionext的技術曾應用在日本偶像的無人演唱會中,結合VR技術,提供良好的使用者體驗,並建立在娛樂產業的商業模式中。同時8K所呈現的立體畫面及擬真效果,可作為影像拍攝的布景使用。 此外,Socionext同步發展人工智慧(AI)技術,可用於優化8K影像的品質。AI及影像處理的方案皆依照客戶需求搭配,隨時提供一站式的解決方案(圖2)。 圖2 8K影像處理解決方案 生態系尚待5G布建/原創內容支援 就整體的8K市場趨勢而言,集邦科技分析師胡家榕(圖3)說明,為追求產品差異化,面板廠積極發展8K規格,但是良率仍是生產挑戰,因此現階段8K產品的價格居高不下,其面板售價大約是4K的1.6倍,整機的價格則約為4K的兩倍。 圖3 集邦科技分析師胡家榕說明,5G傳輸低延遲的特性,是8K影像普及的關鍵 2018年8K應用開始萌芽,以整體顯示器品牌的出貨量中,8K產品的占比計算滲透率,預估今年的8K滲透率是0.15%,滲透率偏低,2021及2023年的滲透率則分別可達0.5%、2.5%。 而5G傳輸低延遲的特性,是8K影像普及的關鍵。張育豪認為,8K影像的滲透依賴5G的普及程度,5G的發展也仰賴8K加值。胡家榕提及,5G的布建將在未來2~3年間越趨完整,可能增加八成以上的8K應用成長。此外,8K的趨勢可從4K的發展歷程推測,4K約從2013年發展至今,現在的滲透率約60%。原先的4K價格是Full HD的1.7倍,花費7~8年才逐漸走向普及,因此如果進展順利,8K也至少需要同樣的時間建立完整的應用生態系並降低成本,才能逐漸走向普及。 近期就8K在家用及商用場景的發展分析,商用的發展比家用更快速,因為娛樂產業需要提供使用者更好的觀看體驗。消費電子方面,三星(Samsung)已經推出可進行8K錄影的手機,但8K影片仍須配合5G網路才能傳輸或使用串流平台觀看。 何俊德表示,原本看好2020年的大型運動賽事,如東京奧運,可加速8K電視市場的開拓,可惜因疫情影響推廣不如預期。影音內容方面,8K影音內容的傳輸有賴5G的普及。5G的布建將大幅提高傳輸速度,可促進影音內容的錄製及播放的全面升級,進而開啟8K及大螢幕顯示器的時代,讓用戶感受到真實、身臨其境體驗,不只個人娛樂,未來如商務視訊、遠距醫療、教育及社交各方面,都有機會打造出新興的生態圈及供應鏈。 目前8K的影音內容正在起步中,就廣播而言,一般電視台才剛完成4K影音設備升級,僅有日本NHK開辦8K頻道。影視產業則以漫威電影採用最高規格拍攝,〈復仇者聯盟4〉全片達到6.5K的影像分辨率,預定2022年上映的〈復仇者聯盟5〉,有機會達到8K規格。預估在5G建設完成後,會有更多8K的影音內容。若配合8K電視普及將整機價格往下調整至4K電視的一倍以內,預期將有新一波的電視換機熱潮。 8K需求的成長,最終仍回歸到供應鏈的產量與價格之間的平衡,搭配相應的網路傳輸與內容產業,才會真正提升使用者的採購意願。而供應鏈方面,中國正在擴大其8K產品的產能,因此未來2~3年中國的產量將影響8K市場發展。張育豪認為,相比中國,台灣具有供應鏈管理方面的優勢,市場機會在於提供差異化及客製化的8K影像服務。
0

新冠疫情加速製造業數位轉型腳步 AI視覺/手臂整合更強大

就在新冠疫情起起伏伏,製造業者對智慧製造的需求更加迫切的背景下,2020年台灣科技相關產業的第一個大型實體展覽—台北國際自動化展揭開了序幕。雖然參觀人士必須戴著口罩才能入場,但場內觀展的人潮仍不在少數,且許多參展廠商都端出了人工智慧(AI)相關的展示內容,更讓今年的自動化展飄著濃濃的AI味。 安全皮膚技術助陣 工業機器人走向協作化 新冠疫情使得許多工廠面臨現場勞動力不足的考驗,進而使工廠人機協作的升級轉型需求大增。但工業機器手臂為追求生產效率的極大化,作業時往往必須與人類作業員保持安全距離,使得人機協作的理想很難落實。如何讓工業機器手臂與人類作業員安全地協同工作,成為機器手臂產業的重大議題。 為實現工業協作手臂的理想,專注研發機器手臂安全皮膚的原見精機,與日本川崎重工(KAWASAKI)攜手合作,共同發表了搭載安全皮膚的工業機器手臂(圖1),藉由高達95%且無死角的包覆度,讓工業機器手臂的安全度大為提升,並朝工業協作的目標跨出一大步。 圖1 原見精機與川崎重工合作,讓原本專為工業應用設計的KHI RS007L機器手臂,在加裝專為其設計的安全皮膚後,升級為工業協作手臂 原見精機董事長蘇瑞堯表示,該公司自2017年創立以來,靠著全球唯一的表面式力感測器解決方案,獲國內外諸多機器人龍頭廠商青睞,攜手提升智慧製造人機協作的安全性。很榮幸本次與川崎重工進一步深化夥伴關係,推出搭載於其機械手臂、市面上包覆最完善的機器人觸覺技術解決方案,現正於日本進行最後檢測實驗,預計不久後即可問世量產。該公司將持續以MIT的堅強技術實力,與更多夥伴攜手打世界盃,以安全的工業協作自動化為核心,擘畫下世代工廠未來。 川崎重工新事業開發部部長野田真指出,產業環境日新月異,川崎重工於工業自動化與機器人產業發展的五十多年間,不斷開發並尋找能符合市場需求的技術。原見精機獨有的觸覺感測解決方案,有效促進大型工業機器人與人類的協作,為新時代自動化產業的發展注入活水。搭載於KHI RS007L的T-Skin安全皮膚新產品,提供機器手臂在協作同時又保有完整的工業精準特性,升級為堅實、精準、耐用的下世代機器人。 原見精機的T-Skin安全皮膚,具有高感度特性,只要一公斤力碰觸就可命令機器人停止。該產品是全球第一個通過歐盟CE驗證最高規格的觸覺感測安全產品,且符合人機協作技術規範ISO/TS 15066的人體安全撞擊測試,產品安全與功能安全均獲認可,達到完整機械系統的安全要求。 原見精機總經理盧元立則進一步說明,KAWASAKI的輕量型機器手臂KHI RS007L是目前同一負重等級中,全球運作速度最快的機械手臂。該手臂原本是專為工業應用設計的產品,但由於人機協作是機器手臂很重要的發展趨勢,如何讓工業機器手臂在快速、精準的既有優勢上,提高其安全性,是許多機器手臂業者都在追求的目標。 在既有的工業手臂上加裝安全皮膚,可讓工業手臂快速升級為工業協作手臂,且成本也遠低於購置新的協作型手臂(Cobot)。但安全皮膚必須針對手臂進行深度客製化,才能避免安全皮膚拖累或干擾機器手臂的運作。原見與川崎重工合作,為KHI RS007L開發專用安全皮膚T-Skin的目的,就是為了讓該手臂能一方面保有其輕巧快速的優勢,另一方面又更加安全。 除了與川崎重工直接合作外,原見也已經針對其他機器手臂品牌的多款產品開發出外掛式的安全皮膚,可讓製造業者用最實惠的投資額,將既有的工業手臂升級為工業協作手臂。 驅控整合式機器關節讓手臂設計更彈性 在本次自動化展中,除了安全皮膚外,與機器手臂有關的重要技術,還有工研院所發表的第三代驅控整合式機器關節。 工研院機械所智慧機器人技術組長黃甦表示,目前市場上絕大多數機器手臂的臂長與構型,都是由機器手臂原廠定義,使用者跟系統整合商(SI)無法依照自家產線的需求或場域狀況進行調整,也使得某些很特別的應用場域找不到適合的機器手臂。因此,工研院發想出新的設計理念,把整隻手臂拆分成一個個關節單元,讓使用者或SI可以依照應用場域的狀況,在一定的容許範圍內,針對每一節手臂的臂長、手臂的整體構型進行客製化,打造出量身訂做的機器手臂。 目前這項技術已經發展到第三代,與前兩代關節模組相比,第三代關節模組單位重量的扭力輸出較第一代關節模組增加約30%,臂長則比第二代更長,又取消了L型關節設計,只保留N型與I型關節,因此整支手臂的外觀更纖長,但又比第二代關節模組多出一個自由度,可實現七軸手臂設計(圖2)。整體來說,利用第三代關節模組組成的機器手臂,比以往的手臂更不占空間,卻又因為多出一個自由度,能負擔更多元化的作業任務。 圖2 工研院機械所展示利用其第三代機器關節模組實現的高度客製化機器手臂 黃甦透露,目前新竹清華大學已經採購了兩支由第三代關節組成的機器手臂,將以此進行雙臂機器人的開發,另也有醫療產業的SI業者利用第三代關節更不占空間的特性,設計出手術用的輔助機器人,可以在開刀房內執行夾持內視鏡這類原本由護士執行的助理工作,讓護士可以去做其他工作。 此外,還有多家台灣的馬達、齒輪等手臂零組件業者,也已經向工研院取得技轉,利用這項技術發展出內建自家馬達、齒輪等關鍵零組件的關節模組。跟提供零組件相比,提供關節模組不僅更貼近SI客戶的需求,單價跟利潤空間也比只銷售零組件更好。 機器視覺/AI緊密結合 手臂應用場景更多元 除了手臂本身的進步外,本次自動化展上,結合人工智慧的機器視覺也比往年更有看頭。所羅門以「AI 3D視覺賦予機器人感知能力」為主題參展,與合作夥伴共同展出多達16項智慧製造與智慧物流的相關應用,包含台灣首次發表的智慧物流分撿與包裝解決方案、智慧焊道辨識方案、智慧打磨系統。 所羅門董事長陳政隆指出,轉型智慧工廠,只有機器手臂是不夠的,因為無法成功辨識物件的機器手臂,在實際的產業應用上會受到很多限制,而這也是所羅門發展AI 3D視覺的主要原因。有AI 3D視覺的機器人,才能讓機器人的應用更多樣化,真正符合產業彈性製造的需求。 事實上,所羅門近年來在AI、機器視覺與機器手臂的整合應用上,投入了相當多資源,每年自動化展上的現場展示,也隨著機器視覺的辨識能力越來越高,而變得更多樣化、更複雜,更貼近實際應用需求。 圖3 所羅門展示的AI智慧焊道辨識方案 據所羅門評估,現在AI、機器視覺與機器手臂的整合應用,已經脫離技術驗證階段,開始走向大量部署。但有許多客戶反應,受到網路頻寬、延遲等因素的影響,現階段要在產線上部署這種高度智慧化的系統,必然要在產線旁配置搭載了高階繪圖卡的工業電腦,由此衍生的成本也是不小的導入障礙。因此,所羅門正著手將其AI機器視覺系統雲端化,以便在網路性能進一步提升,例如5G、TSN網路普及後,能立刻提供用戶雲端部署的選項,降低硬體建置的費用。 AI結合領域知識 自動化長智慧 在本屆自動化展中,幾乎所有跟影像有關的應用,不管是以機器視覺引導機器手臂運作,或是用機器視覺對大小工業產品進行檢測,都能看到AI技術的身影。對工業自動化產業而言,這是頗不尋常的現象。 由於工業自動化對系統的穩定度、可靠度要求遠高於一般資通訊產業或消費性電子產業,因此自動化相關業者對於新技術導入,向來採取比較審慎、保守的態度,除非技術已經相當成熟,否則不會輕易將其導入到自家的產品或解決方案中。 但AI在自動化應用中普及的速度,打破了自動化產業穩紮穩打的習慣,從今年自動化展的展示內容,可以發現相關業者並不是把AI當作展現研發實力的題目,而是真的已經在生產線上累積了一定的部署實績。 俗話說,禍福相倚。新冠疫情對製造業的正常運作造成十分巨大的干擾,但同時也促使製造業者加快數位轉型的腳步,並使得新技術的導入速度明顯加快。對自動化業者,乃至更上游的電子設備、半導體元件供應商來說,或許也算是失之東隅,收之桑榆吧。
0

Chiplet蔚為風潮 設計/模擬工具競提配套

在摩爾定律越來越難繼續向前推進的情況下,將一顆SoC切割成多顆Chiplet,再藉由先進封裝技術完成整合,以便在晶片面積、生產良率與效能之間取得更好的平衡,已經成為許多高階晶片所採用的做法。諸如英特爾(Intel)、賽靈思(Xilinx)與聯發科,都已經在自家產品線上導入了Chiplet的設計理念,使得Chiplet成為半導體業內一個備受矚目的議題。 英特爾架構日展示技術火力 Chiplet概念運用日廣 日前英特爾在暌違18個月後,再次舉行架構日(Architecture Day)活動,除了一口氣更新其處理器、獨立繪圖晶片與FPGA等產品的發展路線圖外,同時也在先進封裝跟Chiplet上面有許多著墨。 英特爾資深首席工程師Ramune Nagisetty表示,該公司所發明的EMIB跟Foveros技術,已經應用在許多晶片產品上,在此基礎上,英特爾將以繼續縮小封裝的Bump Pitch、提高Bump密度為目標,讓先進封裝得以支援更多I/O。目前EMIB與Foveros的Bump Pitch分別可達55~36微米及50~25微米,未來的目標是要將Bump Pitch縮小到10微米以下。混合接合技術將是實現此一目標的關鍵技術,目前英特爾已經完成該技術的試產。 而為了實現更複雜的封裝,滿足未來Chiplet的需要,英特爾的封裝團隊正在發展Co-EMIB與Omni-Directional Interconnect(ODI)等新的封裝技術。Co-EMIB(圖1)是一種混合了2D封裝與3D封裝的技術,利用EMIB將多個已經完成堆疊封裝的晶片模組串接起來,再安置於同一個基板上,這會使英特爾得以實現更大型、更複雜的多晶片模組整合,而且也讓晶片設計人員可以更自由地將晶片切割成Chiplet,提高設計的靈活性,亦有助於加快產品上市跟提高良率。 圖1 Co-EMIB封裝 ODI(圖2)也是一種有助於提高設計自由度的封裝技術,也可以視為TSV概念的變形運用。TSV是以晶片上的垂直穿孔作為互聯的通道,因此隨著TSV的數量增加,晶片設計人員必須預留更多晶片面積給這些穿孔,其實是相當大的浪費。而且在進行3D堆疊時,面積比較大的晶片一定要在下層,否則整個堆疊的結構容易不穩定。ODI則是反其道而行,藉由在晶片外面的金屬柱來實現晶片與基板的互聯,這不僅可以節省TSV占用的空間,同時也可以實現上大下小的堆疊結構,讓封裝設計者有更多的彈性。 圖2 ODI封裝 此外,因為金屬柱直接與基板互聯,因此基板可以透過金屬柱直接對上層晶片供電,或在基板與晶片間,搭建起頻寬更高的互聯線路,這些優勢都可以讓封裝設計者有更多揮灑創意的空間。 不管是Co-EMIB或ODI,其實都是在為日後Chiplet的整合需求預做準備。隨著先進製程的線寬越來越細,很多晶片已經不適合再使用最先進的製程製造,這已經是不爭的事實,例如記憶體、類比、射頻晶片所使用的電晶體,跟邏輯晶片的電晶體,在結構跟尺寸上就有很大的差異,與其硬要把不同種類的電晶體實作在同一顆晶片上,不如各自用最適合的製程技術分開生產,形成所謂的Chiplet,再藉由先進封裝技術把Chiplet整合在同一個封裝內。 要實現Chiplet,需要有兩根支柱,其一是實現實體互連的各種先進封裝技術,另一個則是Chiplet互聯的介面標準。在介面標準方面,英特爾正在大力推廣先進介面匯流排(AIB)標準(圖3),希望讓Die與Die之間的介面得以標準化。Nagisetty表示,介面的標準化是非常關鍵的,在幾十年前,英特爾與其他合作夥伴,共同把PC主機板上的各種介面標準化,例如連接記憶體的DDR、連接GPU或其他周邊的PCI/PCIe,才創造出今天的PC生態系統。同樣的,Chiplet要普及,介面標準化的工作也是不可或缺的。 圖3 AIB 1.0與AIB 2.0標準比較 在Chiplet介面標準化方面,英特爾已加入CHIPS聯盟(CHIPS Aliance),並將AIB標準與聯盟成員分享。此一標準目前已進展到2.0版,並且是完全開放、免權利金的標準,相關說明文件與AIB產生器等工具,都可以在Github上下載。 Chiplet後勢看好 封裝設計面對巨大挑戰 安矽思(Ansys)資深技術經理魏培森(圖4)指出,封裝技術的進步,是Chiplet能從概念轉化成實際產品的關鍵。封裝產業從很多年前就已經發展出多晶片模組封裝(MCM)與系統級封裝(System in Package, SiP)技術,但受限於基板材料特性及PCB製程的線寬/間距(L/S)限制,能在單一封裝中整合的晶片數量較為受限。 圖4 安矽思資深技術經理魏培森 矽基板跟高分子聚合物薄膜這類新材料的應用,以及隨之引入的半導體級線路製程,打破了傳統PCB基板對封裝設計所造成的限制,讓工程師得以在單一封裝內整合更多晶片。也因為後段封裝有了更進步的技術跟材料,讓前段晶片設計者開始思考將SoC設計化整為零,打散成多顆小晶片的可行性,進而形成今日備受業界關注的Chiplet概念。 但對後段封裝設計者來說,Chiplet概念的流行,將會使很多本來應該要由前段承擔的設計整合作業,變成後段封裝設計要承擔。而後段封裝設計者本來就有自己要面對的挑戰,例如採用矽中介層(Interposer)雖可讓連線密度大幅提高,但Interposer是很脆的材料,在晶片運作過程中,如何避免熱漲冷縮所產生的應力損壞Interposer,是封裝設計工程師所需要面對的棘手難題。像InFO這類以高分子材料做為重分布層(RDL)也有不小的挑戰,因為這種材料是軟的,很容易遇到邊緣翹曲這類問題。 簡言之,機械結構與可靠度的問題,是封裝設計工程師所面對的獨特挑戰,對於這方面的設計模擬作業,Ansys提供的解決方案包含Redhawk、Mechanical、Sherlock等結構模擬工具。也因為Ansys在這方面有相對完整的產品線,在封裝設計領域,Ansys的客戶群遍及全球各大OSAT廠與IC設計公司的封裝團隊。 但由於先進製程面對的技術挑戰越來越難以跨越,IC設計走向Chiplet將是必然的結果,因此可以預見的是,從事IC封裝設計的團隊,會遇到越來越多跟電性問題有關的挑戰,例如PI、SI。其實,目前從事先進封裝設計的團隊,就已經得設法處理PI、SI的問題,而隨著先進封裝要承擔更多功能整合的責任,日後封裝團隊要解決的電性問題肯定只增不減。這又帶出另一個問題,如何讓機電(機構+電性)的設計流程能更緊密地銜接起來? 魏培森觀察,許多封裝設計團隊跟OSAT業者,正在積極發展專屬自己的客製化設計流程,一方面加快封裝設計的速度,另一方面也想藉此跟同業做更大的差異化。這點從Ansys為客戶舉辦的教育訓練課程出席率幾乎都是100%,就能看出端倪。因為封裝設計團隊如果要發展自己的客製化流程,工程師本身一定要是相關模擬工具的Power User,才能利用這些工具建立起自己的客製化流程。 因應Chiplet時代 設計環節不宜各自為政 針對Chiplet的前後段設計整合,益華電腦(Cadence)產品技術處長孫自君(圖5)開門見山地說,每個環節各自為政的時代已經結束了,從最前段的IC設計到中間的封裝設計,乃至PCB層級的系統整,以後一定會朝共同設計(Co-design)的方向發展。但這對電子產業來說,會是一個相當艱鉅的挑戰,因為歷經數十年發展,不同設計環節所使用的工具已經高度特化,同時也形成難以打破的高牆,要讓前後段流程平順地串接,是非常困難的事情。 圖5 益華電腦產品技術處長孫自君 以IC設計為例,EDA工具產出的標準檔案格式是GDSII,這是專門為IC設計的需求而設計的檔案格式,以提供幾何(Geometry)資訊為主,沒有太多跟電性有關的資訊,但IC設計簽核(Sign-off)正好相反,EMC/EMI/PI/SI模擬的目的,就是為了確定晶片設計的電性可滿足設計目標,且量產後實際運作不會出問題。因此,光是在IC設計層面,IC設計跟設計簽核團隊就很難協同工作,因為IC設計在收斂(Constrain)的時候,會希望規則越寬鬆越好,但設計簽核卻是用比較嚴格的角度來看待設計收斂,兩個團隊要合作,其實並不容易。 比較理想的作法是,設計簽核所使用模擬工具,其所產生的結果應該要回傳給設計工具,並動態地調整收斂規則,以便取得最好的設計成果,並提高設計作業的效率。這也就是工具業者常說的設計閉環(Close Loop)。但光是要做到這點,就有不少挑戰存在。 如果把IC設計跟封裝,甚至PCB放在一起,問題就更複雜了,因為IC設計是用GDSII,但PCB設計所使用的檔案格式卻是Gerber,光是檔案格式的轉換作業就是浩大工程。而且跟主要由直線、橫線這類單純幾何元素所構成的GDSII相比,Gerber裡面會有非常多GDSII不會用到的複雜幾何圖形,例如鋸齒線路、菊鏈等設計技巧。 為了推倒前後段各個設計環節之間的高牆,Cadence近年來一直在拓展其工具組合,試圖為電子設計各個環節的工程師提供功能更強大的設計工具。這些由Cadence提供的工具,跟其他同業相比,最大的特性在於可以很輕鬆地彼此銜接,甚至讓不同環節的設計資料可以互相串連,以便讓前後段工程師都能看到彼此對設計的調整,以及這些調整會對設計造成的影響,讓整個設計流程有更高的可視性。 Chiplet將牽動半導體勢力重新分配 對前段晶片設計人員來說,把SoC設計拆散成多顆小晶片,有很多顯而易見的優勢,例如不同的功能電路可以各自用最有成本效益的製程節點來生產、每顆小晶片的設計驗證變得比較單純等。另一方面,因為不同電路功能是個別製造出來的,因此晶片設計者可以把Chiplet看成樂高積木,按照市場對晶片功能的需求,快速兜出產品。因此,曾有業界人士指出,Chiplet不只是半導體製造技術上的變革,同時也會對矽智財(IP)的商業運作模式帶來變化,因為Chiplet會讓IP更容易重複利用。 對於這個觀點,魏培森認為,Chiplet的概念如果大幅普及,將會使許多新創公司找到出頭的機會。眾所周知,大型SoC的設計十分複雜,不僅設計週期長,先進製程的光罩成本也高得嚇人,大多數新創公司是負擔不起的。如果IC設計公司普遍採用Chiplet架構來設計產品,很多專注研發某些特定IP或電路功能的小型設計團隊,將會在與既有IC大廠合作的過程中,找到生存的空間。 孫自君也看好,Chiplet風潮將會為許多利基型的小型晶片設計公司搭起發揮的舞台,理由是利用Chiplet來實現晶片設計的產品開發速度,遠比SoC設計來得快。SoC有高度整合的優勢,但這也意味著每次設計改版,或是導入新的製程技術,所有的設計考量都要重新檢查一遍,這是非常耗時的工作。相較之下,Chiplet是用已經分割好的小晶片組成所需的晶片功能,先天上比較不會有牽一髮而動全身的疑慮,故採用Chiplet整合而成的晶片,在產品開發速度上會比重新開發一顆SoC要快得多。 不過,這並不意味著在Chiplet與SoC的競爭中,Chiplet一定會占盡優勢,因為整合作業也會產生成本,設計也需要時間。如果整合的整體成本過高,Chiplet不見得能在競爭中占得上風。所以,採用Chiplet進行晶片設計,雖然可以在前段省下不少成本,但後段封裝所使用的技術還是要慎選。一般來說,如果基板上的走線線寬大於2微米,PCB會是比較有成本競爭力的選項;若走線線寬小於2微米,就該考慮基於矽晶圓的封裝技術。 另一方面,Chiplet概念的興起,除了影響IC設計產業之外,對OSAT跟晶圓代工廠,也會造成明顯的影響。對OSAT廠來說,Chiplet既是危機也是轉機,因為Chiplet所使用的各種先進封裝技術,有不少會涉及晶圓級製程,因此晶圓代工業者在切入Chiplet市場時,會有許多先天上的優勢,例如晶圓代工廠只需要使用早已折舊攤提完成的舊設備,就可以滿足各種先進封裝的需求,但OSAT廠卻須向前段半導體製程進行新的投資。就成本面來看,OSAT業者跟晶圓代工廠的競爭,並不在同一條起跑線上。 但換個角度來看,封裝市場競爭者眾,很多已經十分成熟的封裝技術,早已沒有差異化的操作空間,只剩赤裸裸的殺價競爭。先進封裝的需求若因Chiplet而火上加油,對於有能力對晶圓及製程做更多投資的一線OSAT大廠來說,未嘗不是個擺脫紅海,創造營收成長的契機。 就晶圓代工產業來說,Chiplet的出現,也為在先進製程競爭中脫隊的代工廠創造出一個可以力拼敗部復活的機會。Chiplet打破了SoC時代,所有功能電路都得用先進製程實現的僵固性,晶片設計者可以為不同的電路功能選擇性價比最高的製程,再透過先進封裝技術來實現功能整合。因此,退出先進製程競賽的晶圓代工廠,可以轉而朝電源管理、I/O甚至射頻(RF) Chiplet發展。一般來說,電源管理只要用0.13微米甚至90奈米,就已經綽綽有餘;I/O跟某些需要支援混合訊號的嵌入式控制器,使用12/22奈米製程也已經非常足夠。 不過,對聯電、格羅方德(Global Foundries)等退出先進製程競賽的晶圓代工廠來說,要靠Chiplet翻身,等在前面的仍是一場硬仗,畢竟台積電在先進封裝上的布局深度與廣度,同樣是晶圓代工業者中的龍頭,且泛台積電體系(台積電、世界先進)的成熟製程,除了報價稍高之外,在良率、穩定度方面,也居於業界領先地位。
0

異質整合大行其道 Chiplet再造半導體產業鏈

半導體技術發展越來越成熟,但追求效能提升的腳步卻從來沒有稍停,半導體晶片整合技術進入異質整合(Heterogeneous Integration)世代,各種晶片電路設計與封裝層級的整合技術希望能延續摩爾定律的規律,加上人工智慧(AI)、5G與高效能運算(HPC)等應用對於半導體效能提升的需求,也持續推動晶片技術的改善,近期在小晶片(Chiplet)設計架構的發展之下,也帶動新一波的晶片整合技術發展。 Chiplet並不是全新的IC設計概念,過去系統單晶片(System on Chip, SoC)與系統級封裝(System in Package, SiP)都與小晶片概念有關,隨著半導體製程的發展,電路微縮的代價越來越高,如果要將一個SoC裡面的所有電路都用相同製程或相同材料進行整合,「卡關」的可能性也會提高,可能在良率或成本上付出重大代價。Chiplet的彈性架構,整合不同製程或不同材料的裸晶(Die)電路,再透過更有效率的封裝技術,不僅避開製程瓶頸,也可以在效能與成本上取得最佳解,帶動IC設計、製造、封測廠商的全面投入。 新興應用推動半導體異質整合發展 儘管異質整合技術已經問世多年,但是該技術的應用在過去兩年中急速成長,以滿足功能更加複雜和功耗不斷降低的需求,KLA資深行銷總監Stephen Hiebert表示,異質整合允許IC製造商在單個封裝中堆疊更多的裸晶,以提高電晶體的密度,將各種不同技術和功能的晶片組合在一起,可以實現強大的功能,這些變化影響了封裝的最終設計和封裝內部的晶片組裝,其中包括2.5D和3D晶片堆疊以及扇出型封裝等技術。 另外,幾種異質整合平台例如高密度扇出型封裝、矽中介層(Interposer)和直接接合解決方案,在消費性和入門級應用中都越來越受歡迎。科林研發(Lam Research)Managing Director Manish Ranjan(圖1)表示,隨著功能要求和外形因素的增加,高階封裝解決方案在支援下一代消費性裝置方面發揮重要作用,對諸如AI和ML這類新興應用程式的性能要求,亦推動對提高記憶體頻寬和增加使用高頻寬記憶體的需求,預計在未來幾年內,晶片的發展將更強調低功耗、增加製造靈活性以及加速上市時間。 圖1 Lam Research Managing Director Manish Ranjan Chiplet的影響不僅在晶片設計方面,工研院資通所所長闕志克(圖2)坦言,小晶片的發展將影響半導體的產業生態,過去IC設計業者發展一個完整的產品,除了自身專長的IP之外,要透過IP授權導入其他功能性的電路,所以在晶片設計階段需要支付一次性工程費用(Non-recurring Engineering, NRE),投片量產後又需要依出貨量支付授權金(Royalty)等兩筆費用。Chiplet則是直接買製造好的裸晶,所以少了NRE或授權費(License Fee)這種早期開發成本,有助於小型IC設計公司的生存。 圖2 工研院資通所所長闕志克 Chiplet解構並重組半導體產業鏈 ISSCC一直以來都是積體電路新技術的指標,2020年有多篇論文都以Chiplet為討論主題,其話題性可見一斑。Chiplet有兩項關鍵問題需要解決,一是如何將各個小晶片連接起來,透過封裝技術將不同製程甚至不同材料的裸晶連接;另一個則是如何去劃分、定義這些小晶片的功能、介面、互聯協定等。Chiplet需要解決的挑戰包括:生態系統成熟度、技術和架構劃分、晶片介面、可測試性、3D CAD流程等。 Chiplet為什麼重要?透過將曾經整合的晶片分成獨立的功能區塊,讓廠商解構並重新思考如何從晶片架構的重組提升效能,以AMD的設計為例,I/O模組和DRAM通道使用格羅方德(GLOBALFOUNDRIES)的14nm製程,而包含CPU核心邏輯電路和L3高速暫存,則採用台積電的7nm或更先進的製程。在7nm之前,Chiplet的價值不高,因為保持整個晶片的統一性比將其拆分更有價值,進入先進製程之後,邏輯電路可以持續微縮,除了提高電晶體集積度之外,也可以降低功耗,但I/O模組使用14nm則可能最具成本與效能優勢。 ISSCC 2020的Chiplet研究從單純的封裝技術、介面電路逐漸開始從製程到架構優化設計研究發展,代表Chiplet技術已經逐漸成熟。闕志克認為,Chiplet對半導體產業更廣泛的意義在於,半導體現有產業鏈將因此產生解構與重組,更多小型IC設計公司有能力投入產業,晶圓廠或可以屯貨、交易的中間商將創造新價值。對於IC設計公司而言,Chiplet提供更多在製程微縮之外,嘗試新材料和製程的組合,以提升晶片效能或電源效率。 台灣半導體產業投入Chiplet有勝算 台灣有許多中小型IC設計公司,闕志克說,先進半導體製程帶來的高成本,對於規模不大的IC設計廠商造成強大的成本負擔,因此TSMC的先進製程產能長期已來都以服務大型晶片公司為主;透過Chiplet IC設計公司可以更專注在自己專長的IP,將這部分電路設計到最好,並交易需要的功能裸晶,有實際出貨再支付相關費用,投片成本大幅降低,更有機會使用先進製程,有助於中小型或新創IC設計公司的發展。 台灣半導體產業鏈本來就很完整,垂直分工的模式也很適合Chiplet的發展,闕志克表示,目前的產業結構還需要做些調整,但相對各國的半導體產業現況,台灣發展Chiplet最有條件,也更容易成功。SoC與Chiplet的重點一樣都是整合,不一樣的是SoC是在電路層面進行整合,Chiplet則將整合工作移到封裝階段,所以封測廠的角色將越來越重要。 隨著封裝內的晶片數量不斷增加,封裝的整體價值也隨之提高,Know...
0
- Advertisement -
- Advertisement -

最新文章

- Advertisement -

熱門文章

- Advertisement -

編輯推薦

- Advertisement -