- Advertisement -
首頁 標籤 中芯國際

中芯國際

- Advertisment -

中芯遭逢出口管制 台美韓晶圓代工產能更吃緊

中芯國際發布正式公告,針對美國商務部向其供應商發出信函,對於向中芯出口的部分美國設備、配件及原物料會受到美國出口管制規定進行說明。TrendForce 旗下半導體研究處指出,與中芯有最直接供應關係的美系半導體設備供應商包含應材(Applied Materials)、科林研發(Lam Research)、美商科磊(KLA)將首當其衝,除此之外,荷蘭商艾司摩爾(ASML)也因其零件主要源自於美國而在限制範圍內;相較之下,矽晶圓及半導體化學原物料主要由日系及歐洲供應商為主,初步判斷衝擊較小。 根據TrendForce統計,目前晶圓代工市場仍以台廠以65%市占居冠,其次則為韓國的16%及中國的6%。中芯在全球晶圓代工市占率約為4%,全球排名第五,在中國地區則位列第一,也是中國目前唯一在14nm以下先進製程發展藍圖較為明確的晶圓製造商,作為中國半導體製程領頭羊,面臨上游設備及原物料斷炊危機,將對其先進製程的發展以及中國半導體設備自製之路造成嚴重的衝擊。 中芯恐遭非陸系客戶抽單 觀察中國半導體自主化的發展,目前主要中國廠商包含北方華創(清洗、沉積、蝕刻)、中微半導體(沉積、蝕刻)、上海微電子(光刻、檢測)、中電科(離子注入及化學機械研磨)等,雖然各項製程皆已有中國廠商可自主供應,但值得注意的是,在光刻及檢測製程上目前仍僅有上海微電子可供應最先進達90nm的設備,因此90nm以下製程,亦即12吋廠設備基本上仍需仰賴美系供應商的支援,預估未來5-10年內達成半導體設備自給的可能性極低。 中國廠商在90nm以上製程仍能倚靠中國設備廠自給的前提下,此波制裁主要衝擊將發生在12吋廠的發展,雖然中芯短期內仍能依靠現有產線持續運作,但未來將面臨無法新購機台進行擴產的窘境,其28nm以上成熟製程的擴產,以及14nm以下先進製程發展計畫恐怕都將被迫放緩。此外,非中國客戶恐將為了降低風險而轉單至非陸系晶圓廠,包含格羅方德(GlobalFoundries)、台廠台積電、聯電、世界先進、力積電與韓廠三星(Samsung)。 根據TrendForce調研,中芯前兩大非陸系客戶高通(Qualcomm)、博通(Broadcom)投片產品皆以8吋廠0.18µm製程生產的PMIC為主,目前已陸續向台廠提出增加投片量的要求,但由於現有晶圓代工8吋產能皆普遍已滿載,若此時要求加單,恐怕導致供不應求的市況將更加嚴峻,漲價態勢恐持續至2021年。此外,兆易創新供應Apple Airpods所使用的NOR Flash亦在SMIC以65/55nm製程製造,恐怕也將轉單至台廠華邦、旺宏等。 TrendForce表示,美國對於中芯的斷供影響恐大於福建晉華與華為,雖然近年來中國設備廠已迅速藉由與國內晶圓製造廠合作加緊練兵,但相較於晶片生產製程技術已逐步拉近與國際大廠的距離,中國設備廠的發展腳步仍落後國際大廠。因此,中芯未來若少了國際設備的支援,先進製程的發展與演進將遭受阻礙,而整個中國半導體產業的發展也將隨之受到衝擊。
0

5G需求超有力 2020年純晶圓代工業者營收大增近2成

IC Insights發表最新修正的預估數據,在5G所帶來的行動裝置、電信設備需求刺激下,2020年全球純晶圓代工業者的營收,可望比2019年大幅成長19%。如果純晶圓代工業者真能繳出如此亮麗的成績,2020年將是純晶圓代工業者有史以來營運成長幅度最高的一年。根據IC Insights的定義,純晶圓代工廠是指專注晶圓代工服務,本身不設計晶片的公司。這類公司包含台積電、格羅方德(GlobalFoundries)、聯電與中芯國際。 相較之下,同樣提供晶圓代工服務的整合元件製造商(IDM),2020年相關業務的表現恐將比2019年衰退。IC Insights預期,2020年IDM業者的晶圓代工服務營收,將僅達128億美元,比2019年的130億美元衰退2億美元。事實上,除了2017年將三星電子(Samsung Electronics)的晶圓代工業績改歸列為IDM業者,導致IDM晶圓代工業績明顯成長外,IDM廠在晶圓代工領域的營收表現並不亮眼,未來的成長速度也相對平緩。  
0

第二季全球晶圓代工產值年增2成 下半年不確定性仍高

據TrendForce旗下拓墣產業研究院最新調查,2020年第一季晶圓代工訂單未出現大幅度縮減,以及客戶擴大既有產品需求並導入疫情衍生的新興應用,加上2019年同期基期低,全球前十大晶圓代工業者2020年第二季營收年成長逾2成。 台積電受惠5G手機AP、HPC和遠距辦公教學的CPU/GPU需求推升先進製程營收表現,加上成熟製程產品需求穩定,預估第二季營收年成長超過30%。針對華為禁令的影響,考量其他客戶包括超微(AMD)、聯發科(MediaTek)、輝達(NVIDIA)、高通(Qualcomm)等訂單已有規劃,應能減少稼動率下滑幅度。 三星(Samsung)受惠高通7系列中高階5G晶片客戶採用率良好,7奈米的需求狀況保持穩定,CIS、DDIC等則預期5G手機滲透率增加而擴大供給。另外擴充EUV生產線,拓展行動業務以外的應用,預估第二季營收年成長達15.7%。格羅方德(GlobalFoundries)受到車用與運算晶片需求衰退影響,第二季營收年成長幅度可能收窄,預估為6.9%。 聯電受惠驅動IC與疫情帶動相關產品需求上升,助攻第二季營收維持雙位數成長,達23.9%。中芯國際的NOR Flash、eNVM等12吋晶圓,以及PMIC、指紋辨識晶片與部分通用MCU等8吋晶圓需求支撐營收表現,預估第二季年成長達19%,然而華為禁令可能帶來不確定性,恐影響稼動率表現。 在第三梯隊業者部分,高塔半導體(TowerJazz)的RF與矽光收發器產品受惠5G基礎建設與資料中心建置的持續需求,然總量不比消費性產品,對維持高稼動率貢獻有限,另外,雖然CIS需求強勁,但車用產品需求能見度不高,故對第二季整體營收看法保守,年成長1.3%。 力積電主要由CIS需求挹注,包括IP CAM、中低階像素的手機CIS晶片與安防監控相關低階CIS等在中國市場的需求穩健成長,加上2019年同期基期低,預估第二季營收年成長高達7成。世界先進在大尺寸面板DDIC受惠中國客戶需求增加,PMIC部分則由伺服器、資料中心等建置帶動,第二季營收年成長預估為18.9%。 華虹半導體重點放在12吋產能的建置與90奈米產品推廣,包括CIS、eFlash、RF與功率半導體等,產能處於爬升階段。但由於2019年同期基期較高,導致2020年第二季營收預估小幅衰退4.4%。東部高科的DDIC與CIS有來自韓系客戶的大量需求,推升第二季營收年成長4.6%,但判斷此現象屬於預防斷料的庫存準備,後續表現仍須持續追蹤。 拓墣產業研究院指出,在疫情衍生終端應用變化與相關晶片庫存建置等加持下,客戶的投片意願積極,大致上確保主要晶圓代工業者第二季的生產規劃。不過此波拉貨動能仍受限客戶庫存水位調節策略而有放緩可能,加上中美角力影響,加單效應得利的業者不在多數,並不代表整體晶圓代工市場恢復至具長期需求力道支撐的情況,下半年市場變化仍有不小的變數。  
0

半導體先進製程超限戰 5nm成下一個金雞母

半導體先進製程已經與晶片效能畫上等號,因此,除了品牌與功能之外,對於晶片商來說,採用先進製程也是技術行銷的一大重點,晶片的成功與擴散很大程度上取決於IC製造商能否繼續提供更多的性能和功能。隨著主流CMOS製程達到其理論、實用和經濟極限,降低IC成本不可避免地與不斷成長的技術和晶圓廠製造能力相提並論。台積電已經正式量產的5奈米(nm)製程將成為下一個製程爭奪重點,並為該公司創造更多營收。 2015~2021年主要晶圓廠製程發展進度 資料來源:IC Insights(2/2020) 根據產業研究機構IC Insights最新研究指出,許多IC公司現在正在設計10nm和7nm製程的高階微處理器、應用處理器和其他高級邏輯設備。在半導體製造領域,採用先進製程具有明顯的優勢。在2019年,台積電是唯一使用7奈米製程技術的晶圓代工廠,也成為各家晶片廠商的「名牌」,台積電的先進製程創造大量營收,7奈米製程也出現排隊狀況,一線大廠才能優先取得產能,搶先量產產品,並為廠商拿來作為產品行銷的重點技術。 也由於IC設計廠商排隊採用7奈米製程製造最新設計,推升台積電單片晶圓總收入。台積電2019年每片晶圓收入高於2014年13%,也是全球唯一一家達成此目標的晶圓廠。相較之下,GlobalFoundries、聨電UMC和中芯國際(SMIC)2019年每片晶圓收入,與2014年相較分別下降了2%、14%和19%,這三家廠商的最先進製程約在12/14奈米。 除了代工和邏輯IC製造外,三星、美光、SK Hynix和Kioxia/WD等記憶體供應商都在使用先進製程來製造其DRAM和快閃記憶體(Flash)元件。無論設備類型如何,IC產業都已經發展到只有極少數的公司可以開發前瞻製程技術並製造前瞻IC的地步。日益成長的設計和製造挑戰以及成本已經將積體電路領域門檻變的越來越高。
0

受惠旺季備貨及5G需求帶動 晶圓代工業逐漸擺脫衰退

根據TrendForce旗下拓墣產業研究院統計,在業者庫存逐漸去化及旺季效應優於預期的助益下,預估第四季全球晶圓代工總產值將較第三季成長6%。市占率前三名分別為台積電(TSMC)的52.7%、三星(Samsung)的17.8%與格羅方德(GlobalFoundries)的8%。 觀察主要業者第四季的表現,台積電的16/12奈米與7奈米節點產能持續滿載。其中,7奈米受惠蘋果iPhone 11系列銷售優於預期、AMD維持投片量,以及聯發科的首款5G SoC等需求挹注,營收比重持續提升;成熟製程則受惠IoT晶片出貨增加,估計台積電2019年第四季營收年增8.6%。 至於三星方面,由於市場對於2020年5G手機寄予厚望,使得自有品牌高階4G 手機AP需求成長趨緩,不過高通在三星投片的5G SoC於第四季底將陸續出貨,可望填補原本手機AP下滑的狀況。另外在5G網通裝置的晶片與高解析度CIS表現不俗,估計第四季營收相較第三季持平或微幅成長,年增幅則受惠2018年同期基期較低,因此有19.3%的高成長。 格羅方德的RF IC在5G發展帶動下需求增加,並擴大通訊與車用領域之FD SOI產品,填補了先進製程需求減少,第四季營收年增率可望轉正。聯電(UMC)在5G無線裝置與嵌入式記憶體市占提升,加上手機業者對RF IC、OLED驅動IC、運算晶片市場對PMIC需求,預估第四季營收年增15.1%。中芯國際(SMIC)則受惠CIS與光學指紋辨識晶片維持成長,中國的客戶開案持續增加,而在通訊應用方面的PMIC也有穩定需求,產能利用率近滿載,預估第四季營收年成長6.8%。拓墣產業研究院指出,受節慶促銷效應帶動,業者備貨提升,第四季晶圓代工市場營收表現優於預期。  
0

中美貿易戰衝擊 2019年底晶圓代工景氣蒙陰影

根據TrendForce旗下拓墣產業研究院統計,時序進入傳統電子產業旺季,市場對半導體元件需求會較上半年增加,預估第三季全球晶圓代工總產值將較第二季成長13%。市占率排名前三名分別為台積電(TSMC) 50.5%、三星(Samsung) 18.5%與格羅方德(GlobalFoundries) 8%。然而,受到中美貿易戰持續延燒影響,消費者市場需求低於2018年同期,因此下半年半導體產業的反彈力道恐不若預期強勁。 觀察主要業者第三季表現,全球市占率排名第一的台積電在7奈米囊括主要客群,包含蘋果(Apple)、海思(Hisilicon)、高通(Qualcomm)、超微(AMD)等,7奈米製程產能利用率已近滿載,加上部分成熟製程的需求逐漸回溫下,預估整體合併營收表現不俗,第三季營收將較去年同期成長約7%;Samsung在晶圓代工方面憑藉自家產品需求,及細分代工奈米製程以提供客戶在選擇上的彈性力抗產業跌勢。目前市面上除了華為與Samsung部分的5G手機使用自行研發的晶片外,其餘品牌大多採用Samsung 10奈米製程量產的Qualcomm 5G Modem晶片X50,因而帶動Samsung第三季營收較去年同期成長約3.3%。 GlobalFoundries近期透過出售廠房與晶片業務,以換取出售對象的穩定投片,同時藉著RF SOI技術增加來自通訊領域的營收。不過,未來交割廠房後可能使營收減少,加上AMD積極佈局7奈米產品線,恐將影響GlobalFoundries在12/14奈米製程的營收表現;聯電第二季受惠通訊類產品,包括低、中階手機AP,開關元件與路由器相關晶片等需求挹注,產能利用率提升與出貨量穩定增加,第三季可望維持營收成長。 中芯國際第二季受惠智慧手機、物聯網及相關應用帶動需求,其55/65與40/45奈米製程營收表現出色,加上28奈米需求同樣復甦中,第三季營收將可望持續成長。另外,中芯國際開發中的14奈米製程良率若能維持一定水準,在政策輔導與內需市場加持下,預估海思與紫光展銳將有機會在中芯國際14奈米製程投片。 而華虹半導體受惠功率與電源管理元件等內需市場助益,預估第三季營收將維持穩定成長。世界先進因電源管理產品營收表現亮眼,帶動7月營收來到2019年高點,此需求將持續挹注第三季營收,可望減緩驅動IC轉投12吋趨勢的衝擊。 拓墣產業研究院指出,以整體晶圓代工市場來看,受到近期美中貿易戰變化劇烈影響,雙方在關稅上互相牽制,加上美國持續增加華為相關企業納入實體清單,華為禁令在短時間內恐無法解除。而美中貿易的僵局持續影響終端產品包括手機、筆電、平板電腦、電視等全年的市場需求,導致上游的晶圓代工廠商,對下半年旺季需求表現看法仍趨向保守。  
0

先進製程才是半導體製造金雞母

根據產業研究機構IC Insights研究顯示,全球前四大晶圓代工廠(台積電、GlobalFoundries、聯華電子和中芯國際)加工晶圓產生的平均收入預計在2018年為1,138美元,用八吋等效晶圓表示,與2017年的1,136美元持平,四大代工廠的平均單位收入在2014年達到1,149美元,然後在去年緩慢下降。 台積電2018年平均每晶圓收入預計為1,382美元,較GlobalFoundries的1,014美元高出36%,聯電2018年每片晶圓的平均收入預計僅為715美元。此外,台積電是四家廠商中唯一一家預計2018年將比2013年產生更高的每晶圓收入的晶圓代工廠。相較之下,GlobalFoundries、UMC和中芯國際2018年每晶圓平均收入預計與2013年相較分別下降1%、10%和16%。 就2018年第二季的統計,晶圓代工廠生產的不同製程和晶圓尺寸創造的營收可見。採用0.5微米的8吋晶圓創造營收370美元,而20奈米(nm)以下製程的12吋晶圓可創造6,050美元營收,兩者之間的差距超過16倍。即使以每平方英寸的方式計算,差異也非常大(0.5微米技術為7.41美元,≤20nm技術為53.86美元)。由於台積電45奈米以下的先進製程比重高,預計該公司每片晶圓的收入將從2013年到2018年以2%的年複合成長率(CAGR)成長。 隨著GlobalFoundries暫緩7奈米先進製程的研發,IC Insights認為,在未來五年內,可能只有三家廠商能提供先進製程技術/產品,即台積電、三星和英特爾。  
0
- Advertisement -
- Advertisement -

最新文章

- Advertisement -

熱門文章

- Advertisement -

編輯推薦

- Advertisement -